[Deprecated. Please go to https://xiangshan-doc.readthedocs.io/zh_CN/latest/tutorials/asplos23/ for the tutorials. ]

XiangShan: An Open Source High Performance RISC-V Processor and Infrastructure for Architecture Research

2023.3.25 9AM  
9AM 
Vancouver, Canada

XiangShan logo
 

 

Abstract


Over the past decade, agile and open-source hardware has gained increasing attentions in both academia and industry. In 2019, the SIGARCH Visioning Workshop “Agile and Open Hardware for Next-Generation Computing” in conjunction with ISCA invited eleven experts to present their visions on this direction. We believe that open-source hardware design, and more importantly, free and open development infrastructure, has the opportunity to bring more convenience to architecture research and stimulate innovations.

In this tutorial, we will present our efforts on XiangShan project. XiangShan is an open-source, industry-competitive, high performance RISC-V processor. It has raised the performance ceiling of publicly accessible processors and set the competitive groundwork for future computer architecture research. Behind the processor itself, there is also an agile development platform called Minjie that integrates a broad set of development tools as infrastructure. We will demonstrate how XiangShan, together with Minjie, helps researchers realize their innovative ideas agilely and obtain convincing evaluation results.

The slides at the tutorial are shared at https://xiangshan-doc.readthedocs.io/zh_CN/latest/tutorials/asplos23/.

 

What will be covered?


  1. Introduction to XiangShan project
    1. In June 2020, we launched XiangShan project. We have developed two major generations of codenamed YQH and NH respectively. The latest version of XiangShan processor achieves the highest performance of open-source RISC-V processors to the best of our knowledge. This topic will also cover XiangShan tape-out status, performance evaluation, future roadmap, etc.

     

  2. Introduction to micro-architecture and design concept of XiangShan processor
    1. We will talk about micro-architecture design of XiangShan processor. XiangShan is a superscalar out-of-order RISC-V processor with RV64GCBK ISA support. It features high-throughput frontend with advanced branch predictor, six-width aggressive out-of-order execution engine, high-bandwidth load/store unit and highly configurable cache system. Written in Chisel, a high-level hardware description language, XiangShan also achieves high readability and maintainability.

     

  3. Demonstration of simulation flow and FPGA prototype of XiangShan processor
    1. We have established a comprehensive workflow to simulate XiangShan processor and do prototype on FPGA. In this part, we will perform a practical demonstration, including argument details and key points to pay attention to.

     

  4. Introduction to infrastructure for XiangShan development
    1. We will introduce the development infrastructure of XiangShan processor, also known as Minjie platform. Minjie is open sourced as well. It includes a series of tools that can accelerate the process of hardware development, functional verification, and performance evaluation. We will first talk about the principles and instructions of Minjie toolsets, and then demonstrate how to employ these tools to help quickly develop XiangShan processor.

     

  5. Typical cases sharing of modification and experimentation on XiangShan
    1. We will present some typical cases for XiangShan development. For example, how to add an instruction, how to add a peripheral device and how to re-configure cache structure. Based on XiangShan and Minjie platform, many architectural works can be reproduced and accelerate the interactions between academia and industry.

 

Attendee Requirements


Target: Researchers on micro-architecture, high performance processor design, agile development and verification, etc.

No prior experience with XiangShan/RISC-V/Chisel is necessary.